2016-10-15 8 views
0

でREGではありません、私はのverilogを使用してメモリ\日付を作成しようとしていますが、以下のようなエラーがあると、エラー:読むこの文脈

error: Read is not a reg in this context.

私のコードは、エラーが何であるかを、このようなものですか?

module DataMemory(Read,Write,Address,memW,memR); 
input memR,memW; 
input[15:0] Address,Write; 
output [15:0] Read; 
reg [15:0]temR; 
reg [15:0] DataMem[15:0]; 
integer i; 

initial 
    begin 
     //set zero all initial value; 
     for(i=0;i<16;i=i+1) 
     begin 
      DataMem[i] =16'd0; 
     end 
    end 
always @(memW,memR) 
begin 
    if(memR == 1) 
    begin 
     temR[15:0]=DataMem[Address]; 
    end 
    if(memW == 1) 
    begin   
     DataMem[Address] = Write; 
    end 
    assign Read[15:0]=temR; 
end 
endmodule 

答えて

1

手順の割り当てを行うときは、assignキーワードを使用しないでください。

関連する問題