2017-08-11 13 views

答えて

0

良い合成ツールは、ROMを理解することができ(適切ならばreg [width-1:0] name [depth-1:0])、適切なハードウェアを適切に合成することができます。角括弧の構文を使用して要素にアクセスできます。

reg [7:0] lut [1023:0]; 
initial $readmemh(...); // to fill lut 
assign output = lut[input]; 
+0

ありがとう!私は自分のコードで試してみます。 – Ashley

関連する問題