-3
私はコードを書いたと私は声明と選択VHDLおよび「AND」
port (
clk: in std_logic;
restb: in std_logic;
bout : std_logic_vector(3 downto 0)
);
end entity;
architecture behave of mod9and5 is
signal state: unsigned(3 downto 0);
signal state_next: unsigned(3 downto 0);
begin
with state select state_next <=
"0001" when (state <= "0000") and (mode = '0');
"0000" when others;
場合は定義の問題だ - ここでは私の問題 ある - 私がやりたいことされている場合は、入力0000モード0の場合は0001
エラー(10500): "" テキストの近くにHW31911.vhd(24)で、VHDLの構文エラー。期待 "<=" –
私はすでにモードがあります:STDに....とも固定試合:状態選択state_nextで出... –
を<= "0001" "0000"、 "0010" 時に "0001" &(mode = '0'); (0100)&(mode = '0')の場合 「0101」の場合、 「0100」、「0011」&(mode = '0')、 「0010」&(mode = '0' "0111"&(mode = '1')のとき "1000"のとき "0110"&(mode = '1')、 "0111" )、 "1000"&(mode = '1')の場合 "1001"、 –