2017-03-24 4 views
0

SystemVerilog関数は、パッケージに定義されている型の値を返すことができますか? 関数の型を宣言する前に、パッケージをインポートするにはどうすればよいですか?SystemVerilog関数は、パッケージに定義された型の値を返すことができますか?

+0

問題の例を示す必要があります。パッケージで型を定義したり、型を参照する前にインポートしたり、明示的にパッケージを参照したりすることができます。関数には何も特別なものはありません。 –

答えて

0

あなたは、パッケージで定義されたデータ型の値を返したいと思います。

ここにサンプルコードがあります。

package tmp; 
    typedef bit[1:0] x; 
endpackage 

import tmp::*; 

module tp(); 
    x a; 

    initial 
    begin 
    a = return_x(); 
    $display("a - %p", a); 
    end 
endmodule 

function x return_x(); 
    return_x = 3; 
endfunction 

// Output 
// a = 3