2017-03-06 7 views
0

私はVerilogでハードウェアを実装しており、信号が1になるたびに特定の信号値を出力ファイルにダンプしたい。$ ​​fmonitorを使用したが、変更があるたびに結果をダンプする信号を受信する。私は特定の値をダンプしたいステートマシンの状態たび信号値ターン1信号が1になるたびにファイルに書き込む

+0

'$ monitor'を使い、あなたの出力に' grep'コマンドを使うのは簡単な解決策だと思います。 –

答えて

1
always @ (posedge [your signal]) 
begin 

    $fwrite([file], [format], [value]); // or $monitor in your case 

end 
0
while(1) 
begin 
    if(signal == 1) 
     $fwrite(file, format, value); 
end 

別の解決策は、先に示唆したように、$モニタを使用することであると言います。

関連する問題