よくよくVHDLで特定のコンポーネントに複数の出力ポートがあることがわかりました。ポートマップで出力ポートを無視する方法
COMPONENT eight_bitadder
PORT (a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
結果が0である場合、Zは決定、及びoはオーバーフローでトリガ:我々は、以下の成分を与えられた我々の例のいずれかで、すなわち。
私の場合、この加算器を使用したいと考えていますが、実際の結果は重要ではなく、結果が「0」であるかどうかを確認したいだけです。もちろん、ダミー信号を加えてこの信号にポートを格納することはできますが、それは不必要に複雑に思えますが、合成中に余分なコンポーネントが追加される可能性があります。
openは特別なキーワードです。これは、明示的にポートに名前を付けず、その注文を使用する構文でも使用できますか? (ちょうど確認/明快さを求める)? – paul23
はい、 "オープン"はVHDLで予約されています。正直なところ私はそれを試したことはありません、それを打つと私にそれがどうなるか教えてください。私は常に明示的にポートマッピングを宣言します。 – Russell
はうまくテストされています。私はコンパイルして合成することができます。再度、感謝します。 – paul23