これは59までカウントする次のコードを持っています。それはうまく始まりますが、31の後に数字の代わりに '('、 '$'、 '#'などのようなASCII文字を表示し始めます。私は間違っているつもりです任意のアイデア?ASCIIの文字を表示するカウンタの出力
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
entity counter is
port(clk: IN STD_LOGIC;
secs:OUT INTEGER RANGE 0 to 59);
end counter;
architecture counter_behav of counter is
signal countSVal: INTEGER RANGE 0 to 59:=0;
begin
process(clk)
begin
if(rising_edge(clk)) then
if(countSVal>=59) then
countSVal <= 0;
else
countSVal <= countSVal + 1;
end if;
secs <= countSVal;
end if;
end process;
end counter_behav;
VHDLはハードウェアの定義に使用されます。これはチップ設計者によって使用されます。 –
いいえ、実際はありません。 VHDLはモデリング言語です。現実のハードウェアまたは並行プロセスをモデル化するために使用することができます。 – Sadjad