2017-01-08 4 views

答えて

0

私はチゼル2とはあまり関係がありませんが、私はthis ShiftRegister.applyメソッドがうまくいくと信じています。

Chisel 3には、この機能を追加するためのオープンPRがあります。次のようにこのPRがなければ、それはまだ可能です:

val shiftIn = Wire(UInt(32.W)) 
val shiftReg = ShiftRegister(Mux(reset, RESET_VALUE, shiftIn), n) 

だけshiftRegへの入力にshiftInに接続します。

+0

「リセット」信号が少なくとも「n」サイクルにわたってアクティブであることが保証されている場合、推奨される解決法が機能しますが、必ずしも保証されません。シフトレジスタ適用メソッドを調べます。 – seanhalle

関連する問題