2017-06-18 12 views
0

.svの拡張子を持つLinuxマシンファイルでは、デフォルトでverilogの構文ハイライトスキームが開きますが、.vまたは.vsの拡張子はデフォルトで開きます。 これらのファイルのいずれかを開くたびに、私はgvimで手動で:set syntax=verilogを実行する必要があります。VIMまたはGVIMの特定のファイル拡張子にデフォルトでシンタックスハイライトを追加します。

これらのファイル拡張子を.vまたは.vsにするには、どのようにしてデフォルトでverilogの構文ハイライトを開きますか?

おかげ

答えて

0

はあなたのためにそれを行うためにあなたのの.vimrcに自動コマンドを追加します。

autocmd BufNewFile,BufRead *.v,*.vs set syntax=verilog 
+0

感謝を!解決:D – TheSprintingEngineer

+0

これを置くための "正しい"場所は '〜/ .vim/filetype.vim'です。 ':help new-filetype'を参照してください。 ( '〜/ .vimrc'もほとんど動作します。) –

+0

2行ありますが、個人的には、これらの単純な設定を_vimrc_に保存する方が個人的に意味があります。 – sidyll

関連する問題